dev. boards/RIoTboard2014. 5. 28. 14:52




switch                 D1  D2  D3  D4  D5  D6  D7  D8
=====================================================
serial download         0   1   x   x   x   x   x   x
SD (J16, bottom)        1   0   1   0   0   1   0   1
uSD (J17, top)          1   0   1   0   0   1   1   0
eMMC                    1   0   1   1   0   1   1   1



'dev. boards > RIoTboard' 카테고리의 다른 글

RIoT Board features  (0) 2014.05.28
Posted by 쿨한넘
dev. boards/RIoTboard2014. 5. 28. 10:31



Kit Features


The RIoTboard is based on the i.MX 6Solo processor from Freescale Semiconductor integrating all the functionalities of this multimedia application processor with the following features:

    Processor
        ARM Cortex A9 MPCore™ Processor at 1 GHz
        High-performing video processing unit which covers SD-level and HD-levelvideo decoders and SD-level encoders as a multi-standard video codec engine
        An OpenGL® ES 2.0 3D graphics accelerator with a shader and a 2D graphics accelerator for superior 3D, 2D, and user interface acceleration
    Memories
        1GByte of 32-bit wide DDR3 @ 800MHz
        4GB eMMC
    Media Interfaces
        Analog headphone/microphone, 3.5mm audio jack
        LVDS interface
        HDMI interface
        Parallel RGB interface
        Camera interface (Support CCD or CMOS camera)
        MIPI lanes at 1 Gbps
    Data Transfer Interfaces
        Debug Ports: 3 pin TTL level
        Serial Ports:
            UART2, 3 line serial port, RS232 Logic
            UART3,4,5, 3 line serial port, RS232 Logic (Expansion port)
        USB Ports:
            1 x USB2.0 OTG, mini USB, high-speed, 480Mbps
            4 x USB2.0 HOST, Type A, high-speed, 480Mbps
        uSD card interface
        SD card interface
        10M/100M/Gb Ethernet Interface (RJ45 jack)
        2 channel I2C interface (Expansion port)
        2 channel SPI interface (Expansion port)
        3 channel PWM interface (Expansion port)
        GPIO (Expansion port)
    Input Interfaces
        10-pin JTAG interface
        Boot configuration interface
    Others
        1 Power LED
        1 Open SDA LED
        2 User-defined LEDs
        1 DC Jack
        1 Reset button

'dev. boards > RIoTboard' 카테고리의 다른 글

boot mode select with dip switches  (0) 2014.05.28
Posted by 쿨한넘
dev. boards/epm240 mini2014. 5. 23. 13:30

max II,  max V 계열 cpld 내부엔 oscillator 가 있다. 이 오실레이터의 테스트.

altera 홈페이지에서 AN 496: Using the Internal Oscillator in MAX II and MAX V CPLDs 를 참조했고, vhdl을 이용하여 테스트.

간단히하면, tool -> MegaWizard Plug-In Manager 선택. I/O에서 MAX II / MAX V Oscillator 선택. 그리고 오실레이터 이름 정하기. 여기에선 intOSC 로 이름지어 생성했다. 아래 코드는 이 오실레이터와의 인터페이스 예제.


library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;


entity epm240_test_red is

	port (
		clk			:	in	std_logic;
		cout		:	out	std_logic;
		intosc_out	:	out	std_logic
	);

end epm240_test_red;


architecture arc of epm240_test_red is

	signal value			:	integer range 0 to 50000000;
	signal led				:	std_logic;

	signal	int_osc_out		:	std_logic;
	signal	int_count		:	integer range 0 to 50000000;
	signal	int_out			:	std_logic;

	component intOSC is
		port (
			oscena		:	in	std_logic;
			osc			:	out	std_logic
		);
	end component;

begin

	process(clk)
	begin
	
		if (clk'event and clk = '1') then
		
			value <= value + 1;
			
			if (value = 10000000) then
				value <= 0;
				led <= not led;
			end if;
			
		end if;

	end process;

	
	OSC2: intOSC
		port map (
			oscena	=>	'1',
			osc		=>	int_osc_out
		);
	

	process(int_osc_out)
	begin
	
		if (int_osc_out'event and int_osc_out = '1') then
		
			int_count <= int_count + 1;
			
			if (int_count = 500000) then
				int_count <= 0;
				int_out <= not int_out;
			end if;	
			
		end if;

		end process;

	
	cout <= led;
	intosc_out <= int_out;

end arc;



'dev. boards > epm240 mini' 카테고리의 다른 글

epm240 modules specifications  (0) 2014.05.23
epm240t100 modules  (0) 2014.05.23
Posted by 쿨한넘
dev. boards/epm240 mini2014. 5. 23. 12:18

# epm240 mini red

50MHz osc at pin# 64


# epm240 mini blue

50MHz osc at pin# 12

LED at pin# 77 (J2 점퍼 off 로 연결 해제)


'dev. boards > epm240 mini' 카테고리의 다른 글

epm240 internal oscillator test  (0) 2014.05.23
epm240t100 modules  (0) 2014.05.23
Posted by 쿨한넘
dev. boards/epm240 mini2014. 5. 23. 11:37


ebay에서 구매한 대륙의 모듈. 싸구려 티가 풀풀 나지만, 역시 거부할 수 없는 가격.


epm240 mini red 라 부르기로 한 모듈은,

가격은 9불, EPM240T100C5N 가 달려있다.


epm240 mini blue 라 정한 모듈은,

가격 10불에 두개를 샀는데 하나는 EPM240T100C4N 가, 다른 하나는 EPM240T100C5N 가 달려있다.



'dev. boards > epm240 mini' 카테고리의 다른 글

epm240 internal oscillator test  (0) 2014.05.23
epm240 modules specifications  (0) 2014.05.23
Posted by 쿨한넘

여러 삽질끝에 해답은 딥스위치가 아니었다.

참고 : https://wiki.linaro.org/Boards/MX6QSabreLite


sabre lite 보드는 spi nor 플래쉬에서 부트 이미지를 로드한다. 그래서 SD 카드로 부팅을 하려면 SPI NOR flash에 간단한 로더를 프로그램해야한다고.

첨부 :

iMX6DQ_SPI_to_SD_loader_binaries_rev1.0.zip


0. dip swith를 00으로 set.


1. sd 카드에 이미지 라이트.

sudo dd if=iMX6DQ_SPI_to_uSDHC4.bin of=/dev/sdx

iMX6DQ_SPI_to_uSDHC4.bin는 micro SD에서 부팅을, iMX6DQ_SPI_to_uSDHC3.bin 는 일반 SD 카드에서 부팅을 하기위한 로더이다.


2. SD 카드를 삽입한다.


3. u-boot 프롬프트에서 다음과 같이 한다.

MX6Q SABRELITE U-Boot > mmc dev 1

MX6Q SABRELITE U-Boot > mmc read 0x10800000 0 200

MX6Q SABRELITE U-Boot > sf probe

MX6Q SABRELITE U-Boot > sf erase 0 0x40000

MX6Q SABRELITE U-Boot > sf write 0x10800000 0 0x40000

micro SD인 경우엔 mmc dev 1, 일반 SD인 경우엔 mmc dev 0 을 입력한다.


4. 끝.

u-boot 를 빌드하고 다음과 같이 이미지를 라이트 하고 부팅했다.

sudo dd if=u-boot.imx of=/dev/sdb bs=512 seek=2 && sync && sync



SPI NOR flash 로 u-boot 부트가 안된다면?

freescale의 manufacturing tool로 된단다. 

리나로에서 따로 프로파일을 추가한 manufacturingn tool

MFG_Tool_Profile_for_Linaro.zip



Posted by 쿨한넘