'altera'에 해당되는 글 2건

  1. 2014.05.23 epm240 modules specifications
  2. 2014.05.23 epm240t100 modules
dev. boards/epm240 mini2014. 5. 23. 12:18

# epm240 mini red

50MHz osc at pin# 64


# epm240 mini blue

50MHz osc at pin# 12

LED at pin# 77 (J2 점퍼 off 로 연결 해제)


'dev. boards > epm240 mini' 카테고리의 다른 글

epm240 internal oscillator test  (0) 2014.05.23
epm240t100 modules  (0) 2014.05.23
Posted by 쿨한넘
dev. boards/epm240 mini2014. 5. 23. 11:37


ebay에서 구매한 대륙의 모듈. 싸구려 티가 풀풀 나지만, 역시 거부할 수 없는 가격.


epm240 mini red 라 부르기로 한 모듈은,

가격은 9불, EPM240T100C5N 가 달려있다.


epm240 mini blue 라 정한 모듈은,

가격 10불에 두개를 샀는데 하나는 EPM240T100C4N 가, 다른 하나는 EPM240T100C5N 가 달려있다.



'dev. boards > epm240 mini' 카테고리의 다른 글

epm240 internal oscillator test  (0) 2014.05.23
epm240 modules specifications  (0) 2014.05.23
Posted by 쿨한넘