입력이 2개인 경우에 두 입력이 서로 다른 값일 경우 xor의 출력은 '1'. 입력이 세개인 경우 '1'값이 홀수개인 경우에 xor의 출력이 '1'.
이를 이용하여 전가산기 설계.
library ieee; use ieee.std_logic_1164.all; entity FullAdder_xor_vhdl is port ( x, y, z : in std_logic; S, C : out std_logic ); end FullAdder_xor_vhdl; architecture arc of FullAdder_xor_vhdl is begin S <= x xor y xor z; C <= (z and (x xor y)) or (x and y); end arc;
'book > VHDL을 이용한 FPGA 디지털 설계' 카테고리의 다른 글
7-segment decoder (0) | 2014.06.05 |
---|---|
xor을 이용한 전감산기 설계 (0) | 2014.06.04 |
전감산기의 설계 (0) | 2014.06.04 |
전가산기의 VHDL 설계 (0) | 2014.06.03 |
VHDL을 이용한 FPGA 디지털 설계 (0) | 2014.06.03 |